на тему рефераты Информационно-образоательный портал
Рефераты, курсовые, дипломы, научные работы,
на тему рефераты
на тему рефераты
МЕНЮ|
на тему рефераты
поиск
Проектирование устройств фильтрации

Проектирование устройств фильтрации

Министерство образования Республики Беларусь

Учреждение образования «Белорусский государственный университет

информатики и радиоэлектроники

Факультет телекоммуникаций

Кафедра систем телекоммуникаций

Дисциплина: Прикладное программирование

Пояснительная записка

к курсовой работе

на тему

Проектирование устройств фильтрации

БГУИР КР 1-45 01 01 ххх ПЗ

Студент: гр. 860801 Хочченкко О.В.

Руководитель: старший

преподаватель Беленкевич Н.И.

Минск 2010

СОДЕРЖАНИЕ

ВВЕДЕНИЕ

1 ОБЗОР ПРОГРАММНОГО ОБЕСПЕЧЕНИЯ ДЛЯ РАЗРАБОТКИ ПЛИС

1.1 САПР ORCAD

1.2 САПР FOUNDATION

1.3 САПР SyNPLICITy

2 МЕТОДЫ ПРОЕКТИРОВАНИЯ УСТРОЙСТВ ФИЛЬТРАЦИИ ПО РАБОЧИМ ПАРАМЕТРАМ

3 ВИДЫ АППРОКСИМАЦИИ ЧАСТОТНЫХ ХАРАКТЕРИСТИК: АППРОКСИМАЦИЯ ЧЕБЫШЕВА (ПРЯМАЯ И ИНВЕРСНАЯ)

3.1 АППРОКСИМАЦИЯ ПО ЧЕБЫШЕВУ ПРЯМАЯ (ПЕРВОГО РОДА)

3.2 АППРОКСИМАЦИЯ ЧЕБЫШЕВА ИНВЕРСНАЯ (ВТОРОГО РОДА)...19

4 ВЫВОД ПЕРЕДАТОЧНОЙ ФУНКЦИИ ФИЛЬТРА ПО СТРУКТУРЕ РАУХА

5 МОДЕЛИРОВАНИЕ ФИЛЬТРА НА ФУНКЦИОНАЛЬНОМ УРОВНЕ В СИСТЕМЕ MATHCAD В ЧАСТОТНОЙ И ВРЕМЕННОЙ ОБЛАСТЯХ (РАСЧЕТ АЧХ, ФЧХ, ХРЗ, ХГВЗ, ИХ, ПХ В НОРМИРОВАННОМ И ДЕНОРМИРОВАННОМ ВИДАХ

6 РАЗРАБОТКА ПРИНЦИПИАЛЬНОЙ СХЕМЫ ФИЛЬТРА, РАСЧЕТ ЭЛЕМЕНТОВ

7 МОДЕЛИРОВАНИЕ ФИЛЬТРА НА СХЕМАТЕХНИЧЕСКОМ УРОВНЕ В СИСТЕМЕ ELECTRONIC WORKBENCH В ЧАСТОТНОЙ И ВРЕМЕННОЙ ОБЛАСТЯХ (ИЗМЕРЕНИЕ АЧХ, ФЧХ, ЧРЗ, ИХ, ПХ)

8 ИЗМЕРЕНИЕ АЧХ ФИЛЬТРА В СИСТЕМЕ ELECTRONIC WORKBENCH С ПОМОЩЬЮ ЛЧМ СИГНАЛА

ЗАКЛЮЧЕНИЕ

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

ВВЕДЕНИЕ

Цепи фильтрации сигналов - важная и неотъемлемая часть многих систем связи и электрических контрольно-измерительных устройств. Они служат для формирования частотных каналов в системах коммутации, разделения и преобразования электрических сигналов. С помощью машинных программ можно рассчитывать схему любых фильтров, отвечающих заданным техническим требованиям, используя хорошо разработанные методы синтеза.

Электрический фильтр - устройство, пропускающее электрические колебания одних частот и задерживающее колебания других часто. В более узком смысле фильтры - это основные электронные компоненты многих систем связи, таких, как телефония, телевидение, радиовещание, радио- и звуколокация. Фактически электрические фильтры так распространены в современной технике, что невозможно представить любой электронный прибор средней сложности, в котором бы не использовался фильтр в том или ином виде.

Данная курсовая работа будет направлена на проектирование устройства фильтрации, освоение методики расчета его элементов, построение характеристик. Анализ характеристик во временной и частотной областях позволит сделать некоторые выводы о правильности расчёта фильтра на определённых этапах.

В данной курсовой работе будут использованы два вспомогательных программных продукта - это MathCAD как программа построения различных характеристик и численного расчёта выражений, а также Electronic Workbench как оболочка построения принципиальной схемы фильтра и получения тех же характеристик.

1. ОБЗОР ПРОГРАММНОГО ОБЕСПЕЧЕНИЯ ДЛЯ РАЗРАБОТКИ ПЛИС

Программируемые логические интегральные схемы (ПЛИС) являются ИС высокого уровня интеграции для разработки на их базе специализированных устройств. ПЛИС соединяют достоинства массового заводского производства ИС с возможностью гибкого подбора структуры ИС для малосерийных специализированных устройств. На базе ПЛИС могут быть изготовлены логические блоки и системы, преобразователи кодов, периферийные контроллеры, микропрограммные устройства управления, конечные автоматы, умножители, небольшие процессоры, в том числе для быстрого преобразования Фурье. Проектирование устройства на ПЛИС заключается в составлении схемы соединений входящих в ее состав логических элементов и последующем программировании матрицы специальным программатором, которое может быть выполнено самим пользователем. Основное преимущество ПЛИС перед другими специализированными схемами -- малое время изготовления требуемых заказных вариантов схем. Исчезает необходимость обращаться к изготовителям ИМС для нанесения металлической маски и установки кристалла в корпус. Время получения нужной ИС из стандартной ПЛИС измеряется секундами и минутами. Широкое распространение ПЛИС стало возможным благодаря наличию множества автоматизированных систем проектирования (САПР). Разными производителями для решения собственных задач было разработано множество САПР. На сегодня наибольшее распространение получили несколько мощных САПР для ПЛИС, к которым следует отнести OrCAD, Protel, MAX+PLUS II, Foundation, Active-CAD, Synplicity.[1]

1.1САПР ORCAD

Система OrCAD является системой моделирования и сквозного проектирования аналого-цифровых электронных устройств. Продукты серии OrCAD принадлежат компании Cadence Design Systems. Название OrCAD произведено от слов Oregon и CAD. Последняя версия OrCAD 16.2 обладает возможностями по созданию и поддержке баз данных доступных интегральных схем и работает в ОС Windows 9x, Windows NT 4.0, Windows 2000, Windows XP, Windows 2003, Windows Vista. Базы данных могут быть обновлены путем скачивания пакетов производителей компонентов, таких как Texas Instruments. В России пока наиболее хождение имеет версия 9.2, вышедшая в октябре 2000 года . В составе пакета следующие модули:

- Capture -- редактор принципиальных схем;

- Capture CIS Option -- менеджер библиотек Active Parts;

- PSpice Analog Didital -- пакет аналого-цифрового моделирования;

- PSpice Аdvanced Аnalysis -- пакет параметрической оптимизации;

- PSpice SLPS option -- интерфейс связи с пакетом Matlab;

- PCB Designer -- редактор топологий печатных плат;

- SPECCTRA for OrCAD -- программа автоматической и интерактивной трассировки;

- Signal Explorer -- модуль анализа целостности сигналов и перекрестных искажений.

Линейка программ OrCAD реализует все этапы проектирования ПП:

- схемный ввод;

- размещение компонентов;

- трассировка;

- вывод изделия в производство.

Следует отметить, что в состав OrCAD 9.2 не входит модуль моделирования цифровых устройств и синтеза ПЛИС OrCAD Express. Для этих целей фирма Cadence предлагает специализированный пакет программ FPGA Studia.

Опция для моделирования поведения печатной платы позволяет выполнять тестирование изделия без выпуска физического прототипа. Выпуск документации автоматизирован, при внесении изменений в любую часть проекта, они автоматизировано отражаются в документации. Технология проектирования Cadence обеспечивает целостность и синхронизацию этапов проекта от схемного ввода до запуска в производство, а также средства ведения корпоративной базы данных компонентов CIS.[1]

1.2 САПР FOUNDATION

Для работы с устройствами FPGA, в том числе и с семейством Virtex, фирмой Xilinx в кооперации с Aldec и Synopsys разработан мощный и современный пакет Foundation, последняя версия которого (ISE 4.1) обеспечивает ряд новых функций, позволяющих использовать ПЛИС в качестве основной элементной базы для построения “систем на кристалле” (system-on-chip, SOC). Компоненты SOC разрабатываются отдельно и хранятся в виде файлов параметризируемых модулей. Окончательная структура SOC-микросхемы выполняется на базе этих “виртуальных компонентов”, называемых также “блоками интеллектуальной собственности”, с помощью САПР. Благодаря стандартизации, в одно целое можно объединять “виртуальные компоненты” от разных разработчиков. Для поддержки работы над кристаллами, емкость которых составляет 2 000 000 эквивалентных вентилей, необходимо обеспечить возможность коллективной работы над проектом. Foundation обеспечивает поддержку коллектива разработчиков как в локальной сети, так и с использованием ресурсов Internet. Данная технология разработки получила наименование Internet Team Design (Itd).

Основу системы составляет оболочка Foundation Project Manager, разработанная фирмой Aldec. Использование Project Manager позволяет обеспечить удобное задание всех параметров проекта, а также быстрое управление вводом описания проекта, его компиляции, временного и функционального моделирования, верификации и программирование ПЛИС (рис. 6). Пакет Foundation выпускается в различных по конфигурации модификациях, в максимальном варианте доступны следующие модули:

- FPGA Express Synthesis -- осуществляет синтез проекта с использованием языков описания аппарат уры высокого уровня (VHDL и Verilog synthesis). Данный модуль разработан компанией Synopsys. Данный компилятор поддерживает синтез устройств с заданными временными пара-

- метрами.

- Schematic Editor -- схематический редактор, имеющий развитые библиотеки. В версии 2.1 применяется редактор схем Vista, входящий составной частью в FPGA Express. Модуль ввода проекта на языке описания аппаратуры.

- ABEL -- обеспечивается поддержка ввода описания алгоритма и синтез с использованием данного языка, который предназначен для описания проектов, выполняемых на ПЛИС Xilinx и некоторых других производителей.

- State Editor -- обеспечивается ввод описания цифрового автомата с помощью его графа переходов. Данный способ описания проекта позволяет весьма просто и наглядно задать поведение автомата и весьма удобен при разработке различных устройств управления. В дальнейшем возможно сделать для созданного автомата символ и использовать его в редакторе схем.

- HDL Editor -- специализированный редактор, имеющий удобные средства контроля синтаксиса, шаблоны типовых конструкций и удобную связь с компиляторами. В качестве средства работы с проектом на базе HDL используется Language Assistant, состоящий из трех основных модулей Language Templates, Synthesis Templates и User Templates.

- LogiBLOX -- средство для создания описания модулей в интерактивном графическом режиме. Оно позволяет создавать такие узлы, как счетчики, сдвиговые регистры, элементы памяти и мультиплексоры. LogiBLOX запускается непосредственно из редактора HDL Editor с использованием команды Synthesis / LogiBLOX. С использованием этого средства достаточно просто создать описание узла на языке описания аппаратуры, не владея им в совершенстве.

- Express Constraints Editor -- средство для задания параметров компиляции проекта. С помощью этого редактора удобно задавать временные ограничения для проекта.

- Logic Simulator -- симулятор, позволяющий удобно провести его функциональное (логическое, поведенческое) моделирование после ввода описания проекта. В симуляторе в интерактивном графическом режиме задаются сигналы, которые используются для проведения моделирования. Результаты моделирования можно наблюдать как в привычном виде временных диаграмм, в том числе в режиме Probe, так и с использованием семисегментных индикаторов.

- Design Implementat ion -- модуль, запускающийся из Project Manager, позволяющий выбрать устройство, на котором реализуется проект, подгрузить файл ограничений и параметров синтеза, созданный пользователем, а затем запустить компиляцию проекта.

- Timing Simulation -- модуль, позволяющий провести временное моделирование в случае успешной компиляции проекта.

- Xchecker -- загрузчик, позволяющий проводить аппаратную верификацию проекта после проведения моделирования на компьютере. Программное обеспечение фирмы Xilinx поддерживает генератор логических ядер (CORE Generator). Сгенерированные ядра (LogiCORE) представляют собой функциональные параметризированные блоки системного уровня, предназначенные для применения в цифровой обработке сигналов. Кроме того, фирма Xilinx поддерживает программу разработки готовых решений для САПР ПЛИС AllianceCORE.[1]

1.3 САПР SyNPLICITy

Разработчики ПЛИС по всему миру используют программные средства компании Synplicity. Образованная в 1994 году, Synplicity сразу выпустила ряд успешных продуктов, ориентированных на решение задачи логического синтеза для ПЛИС. Учитывая, что электронные устройства на базе современных ПЛИС -- один из наиболее активно развивающихся секторов микроэлектроники, можно утверждать, что Synplicity сегодня входит в число самых заметных игроков на рынке средств автоматизированного проектирования микроэлектронных компонентов. Компания Synplicity интенсивно развивается, осваивает новые направления системного и физического синтеза. Особенно трудная задача при разработке систем синтеза -- обеспечить возможность эффективной реализации исходного RTL-описания во всех доступных элементных базисах. В полной мере решить эту задачу, как впрочем и для компиляторов, тяжело, но Synplicity, пожалуй, продвинулась в ее решении дальше всех. Одно из интереснейших направлений развития компании -- разработка аппаратного отладчика RTL-кода на базе ПЛИС. Сегодня отладка и функциональная верификация RTL-кода, кстати в отличие от разработки программ, производится в искусственной среде средств логического моделирования. Понятно, что при реализации в виде ASIC отладку на аппаратном уровне не сделаешь, а вот решения для перепрограммируемых FPGA весьма интересны.

Страницы: 1, 2, 3



© 2003-2013
Рефераты бесплатно, курсовые, рефераты биология, большая бибилиотека рефератов, дипломы, научные работы, рефераты право, рефераты, рефераты скачать, рефераты литература, курсовые работы, реферат, доклады, рефераты медицина, рефераты на тему, сочинения, реферат бесплатно, рефераты авиация, рефераты психология, рефераты математика, рефераты кулинария, рефераты логистика, рефераты анатомия, рефераты маркетинг, рефераты релиния, рефераты социология, рефераты менеджемент.